Profiling/it: Difference between revisions

From FreeCAD Documentation
(Created page with "La profilazione del codice di FreeCAD aiuta a trovare i colli di bottiglia negli algoritmi utilizzati per creare o manipolare oggetti.")
(Created page with "Per profilare il codice Python usare il modulo standard {{incode|cProfile}} per definire i punti di inizio e fine del profilo nel codice.")
Line 5: Line 5:
La profilazione del codice di FreeCAD aiuta a trovare i colli di bottiglia negli algoritmi utilizzati per creare o manipolare oggetti.
La profilazione del codice di FreeCAD aiuta a trovare i colli di bottiglia negli algoritmi utilizzati per creare o manipolare oggetti.


To profile [[Python|Python]] code use the standard {{incode|cProfile}} module to define start and end points to profile in the code.
Per profilare il codice [[Python/it|Python]] usare il modulo standard {{incode|cProfile}} per definire i punti di inizio e fine del profilo nel codice.
{{Code|code=
{{Code|code=
import cProfile
import cProfile

Revision as of 20:46, 4 September 2020

Other languages:

Descrizione

La profilazione del codice di FreeCAD aiuta a trovare i colli di bottiglia negli algoritmi utilizzati per creare o manipolare oggetti.

Per profilare il codice Python usare il modulo standard cProfile per definire i punti di inizio e fine del profilo nel codice.

import cProfile
pr = cProfile.Profile()
pr.enable()

# --------------------------------------
# Lines of code that you want to profile
# --------------------------------------

pr.disable()
pr.dump_stats("/tmp/profile.cprof")

Then install and use pyprof2calltree to convert the profile output into cachegrind input.

pyprof2calltree -i /tmp/profile.cprof -o /tmp/callgrind.out

Then visualize this information with kcachegrind for Linux or qcachegrind for Windows.

kcachegrind /tmp/callgrind.out

Resources